From fe97d0794a4d3f03dc5352fb48ee28fb335cd25f Mon Sep 17 00:00:00 2001 From: Kumar Gala Date: Fri, 6 Nov 2020 08:21:41 -0600 Subject: binutils: Pull in some bug fixes from binutils 2.35 branch Expect that if there is a binutils 2.35.2 release these fixes will be included in there, these are pulled out of the binutils-2_35-branch post the 2.35.1 release. Signed-off-by: Kumar Gala diff --git a/packages/binutils/2.35.1/0009-Import-patch-from-mainline-to-fix-decoding-DWARF-inf.patch b/packages/binutils/2.35.1/0009-Import-patch-from-mainline-to-fix-decoding-DWARF-inf.patch new file mode 100644 index 0000000..b6b4496 --- /dev/null +++ b/packages/binutils/2.35.1/0009-Import-patch-from-mainline-to-fix-decoding-DWARF-inf.patch @@ -0,0 +1,36 @@ +From 269d40a2ef3b020b5beb3f3de5b8e909c43ab53b Mon Sep 17 00:00:00 2001 +From: Nick Clifton +Date: Thu, 24 Sep 2020 13:42:04 +0100 +Subject: [PATCH] Import patch from mainline to fix decoding DWARF information + in the BFD library. + + PR 26520 + * dwarf2.c (scan_unit_for_symbols): Add member entries to the + variable table. +--- +diff --git a/bfd/dwarf2.c b/bfd/dwarf2.c +index b8f0008a10d..977bf43a6a1 100644 +--- a/bfd/dwarf2.c ++++ b/bfd/dwarf2.c +@@ -3404,7 +3404,8 @@ scan_unit_for_symbols (struct comp_unit *unit) + else + { + func = NULL; +- if (abbrev->tag == DW_TAG_variable) ++ if (abbrev->tag == DW_TAG_variable ++ || abbrev->tag == DW_TAG_member) + { + size_t amt = sizeof (struct varinfo); + var = (struct varinfo *) bfd_zalloc (abfd, amt); +@@ -3516,7 +3517,7 @@ scan_unit_for_symbols (struct comp_unit *unit) + spec_var = lookup_var_by_offset (attr.u.val, + unit->variable_table); + if (spec_var == NULL) +- { ++ { + _bfd_error_handler (_("DWARF error: could not find " + "variable specification " + "at offset %lx"), +-- +2.25.4 + diff --git a/packages/binutils/2.35.1/0010-arm-Fix-the-wrong-error-message-string-for-mve-vldr-.patch b/packages/binutils/2.35.1/0010-arm-Fix-the-wrong-error-message-string-for-mve-vldr-.patch new file mode 100644 index 0000000..9ea369a --- /dev/null +++ b/packages/binutils/2.35.1/0010-arm-Fix-the-wrong-error-message-string-for-mve-vldr-.patch @@ -0,0 +1,926 @@ +From 83b4a887fce217923a92b5a3c2b939c1629ff7a8 Mon Sep 17 00:00:00 2001 +From: Srinath Parvathaneni +Date: Thu, 22 Oct 2020 13:42:01 +0100 +Subject: [PATCH] arm: Fix the wrong error message string for mve vldr/vstr + (PR26763). + +For mve vldr/vstr instructions assembler is throwing wrong error message. +Instead of 'Error: syntax error' assembler fails with 'Error: lo register required'. +This patch fixes the issue. + +eg: +$ cat x.s +.syntax unified +.thumb + +vldrb.s16 q0, r0 + +Before this patch: +$ arm-none-eabi-as x.s -march=armv8.1-m.main+mve -mfloat-abi=hard +x.s: Assembler messages: +x.s:4: Error: lo register required -- `vldrb.s16 q0,r0' + +After this patch: +$ arm-none-eabi-as x.s -march=armv8.1-m.main+mve -mfloat-abi=hard +x.s: Assembler messages: +x.s:4: Error: syntax error -- `vldrb.s16 q0,r0' + +gas/ChangeLog: + +2020-10-21 Srinath Parvathaneni + + PR target/26763 + * config/tc-arm.c (parse_address_main): Add new MVE addressing mode + check. + * testsuite/gas/arm/mve-vldr-vstr-bad.d: New test. + * testsuite/gas/arm/mve-vldr-vstr-bad.l: Likewise. + * testsuite/gas/arm/mve-vldr-vstr-bad.s: Likewise. +--- +diff --git a/gas/config/tc-arm.c b/gas/config/tc-arm.c +index a9aaffa3bdd..64475d66729 100644 +--- a/gas/config/tc-arm.c ++++ b/gas/config/tc-arm.c +@@ -5936,7 +5936,15 @@ parse_address_main (char **str, int i, int group_relocations, + + if (skip_past_char (&p, '[') == FAIL) + { +- if (skip_past_char (&p, '=') == FAIL) ++ if (group_type == GROUP_MVE ++ && (reg = arm_reg_parse (&p, REG_TYPE_RN)) != FAIL) ++ { ++ /* [r0-r15] expected as argument but receiving r0-r15 without ++ [] brackets. */ ++ inst.error = BAD_SYNTAX; ++ return PARSE_OPERAND_FAIL; ++ } ++ else if (skip_past_char (&p, '=') == FAIL) + { + /* Bare address - translate to PC-relative offset. */ + inst.relocs[0].pc_rel = 1; +diff --git a/gas/testsuite/gas/arm/mve-vldr-vstr-bad.d b/gas/testsuite/gas/arm/mve-vldr-vstr-bad.d +new file mode 100644 +index 00000000000..8989688f0f3 +--- /dev/null ++++ b/gas/testsuite/gas/arm/mve-vldr-vstr-bad.d +@@ -0,0 +1,5 @@ ++#name: bad MVE VLDR VSTR wrong error message for addressing mode without []. ++#as: -march=armv8.1-m.main+mve.fp -mthumb -mfloat-abi=hard ++#error_output: mve-vldr-vstr-bad.l ++ ++.*: +file format .*arm.* +diff --git a/gas/testsuite/gas/arm/mve-vldr-vstr-bad.l b/gas/testsuite/gas/arm/mve-vldr-vstr-bad.l +new file mode 100644 +index 00000000000..2df3a37ac02 +--- /dev/null ++++ b/gas/testsuite/gas/arm/mve-vldr-vstr-bad.l +@@ -0,0 +1,811 @@ ++[^:]*: Assembler messages: ++[^:]*:12: Error: syntax error -- `vldrb.s8 q0,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q0,r0' ++[^:]*:12: Error: syntax error -- `vstrb.8 q0,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q0,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q0,r1' ++[^:]*:12: Error: syntax error -- `vstrb.8 q0,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q0,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q0,r2' ++[^:]*:12: Error: syntax error -- `vstrb.8 q0,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q0,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q0,r4' ++[^:]*:12: Error: syntax error -- `vstrb.8 q0,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q0,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q0,r7' ++[^:]*:12: Error: syntax error -- `vstrb.8 q0,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q0,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q0,r8' ++[^:]*:12: Error: syntax error -- `vstrb.8 q0,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q0,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q0,r10' ++[^:]*:12: Error: syntax error -- `vstrb.8 q0,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q0,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q0,r12' ++[^:]*:12: Error: syntax error -- `vstrb.8 q0,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q0,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q0,r14' ++[^:]*:12: Error: syntax error -- `vstrb.8 q0,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q1,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q1,r0' ++[^:]*:12: Error: syntax error -- `vstrb.8 q1,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q1,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q1,r1' ++[^:]*:12: Error: syntax error -- `vstrb.8 q1,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q1,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q1,r2' ++[^:]*:12: Error: syntax error -- `vstrb.8 q1,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q1,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q1,r4' ++[^:]*:12: Error: syntax error -- `vstrb.8 q1,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q1,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q1,r7' ++[^:]*:12: Error: syntax error -- `vstrb.8 q1,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q1,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q1,r8' ++[^:]*:12: Error: syntax error -- `vstrb.8 q1,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q1,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q1,r10' ++[^:]*:12: Error: syntax error -- `vstrb.8 q1,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q1,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q1,r12' ++[^:]*:12: Error: syntax error -- `vstrb.8 q1,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q1,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q1,r14' ++[^:]*:12: Error: syntax error -- `vstrb.8 q1,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q2,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q2,r0' ++[^:]*:12: Error: syntax error -- `vstrb.8 q2,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q2,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q2,r1' ++[^:]*:12: Error: syntax error -- `vstrb.8 q2,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q2,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q2,r2' ++[^:]*:12: Error: syntax error -- `vstrb.8 q2,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q2,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q2,r4' ++[^:]*:12: Error: syntax error -- `vstrb.8 q2,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q2,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q2,r7' ++[^:]*:12: Error: syntax error -- `vstrb.8 q2,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q2,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q2,r8' ++[^:]*:12: Error: syntax error -- `vstrb.8 q2,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q2,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q2,r10' ++[^:]*:12: Error: syntax error -- `vstrb.8 q2,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q2,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q2,r12' ++[^:]*:12: Error: syntax error -- `vstrb.8 q2,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q2,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q2,r14' ++[^:]*:12: Error: syntax error -- `vstrb.8 q2,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q4,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q4,r0' ++[^:]*:12: Error: syntax error -- `vstrb.8 q4,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q4,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q4,r1' ++[^:]*:12: Error: syntax error -- `vstrb.8 q4,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q4,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q4,r2' ++[^:]*:12: Error: syntax error -- `vstrb.8 q4,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q4,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q4,r4' ++[^:]*:12: Error: syntax error -- `vstrb.8 q4,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q4,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q4,r7' ++[^:]*:12: Error: syntax error -- `vstrb.8 q4,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q4,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q4,r8' ++[^:]*:12: Error: syntax error -- `vstrb.8 q4,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q4,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q4,r10' ++[^:]*:12: Error: syntax error -- `vstrb.8 q4,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q4,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q4,r12' ++[^:]*:12: Error: syntax error -- `vstrb.8 q4,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q4,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q4,r14' ++[^:]*:12: Error: syntax error -- `vstrb.8 q4,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q7,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q7,r0' ++[^:]*:12: Error: syntax error -- `vstrb.8 q7,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q7,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q7,r1' ++[^:]*:12: Error: syntax error -- `vstrb.8 q7,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q7,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q7,r2' ++[^:]*:12: Error: syntax error -- `vstrb.8 q7,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q7,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q7,r4' ++[^:]*:12: Error: syntax error -- `vstrb.8 q7,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q7,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q7,r7' ++[^:]*:12: Error: syntax error -- `vstrb.8 q7,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q7,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q7,r8' ++[^:]*:12: Error: syntax error -- `vstrb.8 q7,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q7,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q7,r10' ++[^:]*:12: Error: syntax error -- `vstrb.8 q7,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q7,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q7,r12' ++[^:]*:12: Error: syntax error -- `vstrb.8 q7,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s8 q7,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u8 q7,r14' ++[^:]*:12: Error: syntax error -- `vstrb.8 q7,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q0,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q0,r0' ++[^:]*:12: Error: syntax error -- `vstrb.16 q0,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q0,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q0,r1' ++[^:]*:12: Error: syntax error -- `vstrb.16 q0,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q0,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q0,r2' ++[^:]*:12: Error: syntax error -- `vstrb.16 q0,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q0,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q0,r4' ++[^:]*:12: Error: syntax error -- `vstrb.16 q0,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q0,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q0,r7' ++[^:]*:12: Error: syntax error -- `vstrb.16 q0,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q0,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q0,r8' ++[^:]*:12: Error: syntax error -- `vstrb.16 q0,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q0,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q0,r10' ++[^:]*:12: Error: syntax error -- `vstrb.16 q0,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q0,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q0,r12' ++[^:]*:12: Error: syntax error -- `vstrb.16 q0,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q0,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q0,r14' ++[^:]*:12: Error: syntax error -- `vstrb.16 q0,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q1,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q1,r0' ++[^:]*:12: Error: syntax error -- `vstrb.16 q1,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q1,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q1,r1' ++[^:]*:12: Error: syntax error -- `vstrb.16 q1,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q1,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q1,r2' ++[^:]*:12: Error: syntax error -- `vstrb.16 q1,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q1,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q1,r4' ++[^:]*:12: Error: syntax error -- `vstrb.16 q1,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q1,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q1,r7' ++[^:]*:12: Error: syntax error -- `vstrb.16 q1,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q1,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q1,r8' ++[^:]*:12: Error: syntax error -- `vstrb.16 q1,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q1,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q1,r10' ++[^:]*:12: Error: syntax error -- `vstrb.16 q1,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q1,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q1,r12' ++[^:]*:12: Error: syntax error -- `vstrb.16 q1,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q1,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q1,r14' ++[^:]*:12: Error: syntax error -- `vstrb.16 q1,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q2,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q2,r0' ++[^:]*:12: Error: syntax error -- `vstrb.16 q2,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q2,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q2,r1' ++[^:]*:12: Error: syntax error -- `vstrb.16 q2,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q2,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q2,r2' ++[^:]*:12: Error: syntax error -- `vstrb.16 q2,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q2,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q2,r4' ++[^:]*:12: Error: syntax error -- `vstrb.16 q2,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q2,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q2,r7' ++[^:]*:12: Error: syntax error -- `vstrb.16 q2,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q2,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q2,r8' ++[^:]*:12: Error: syntax error -- `vstrb.16 q2,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q2,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q2,r10' ++[^:]*:12: Error: syntax error -- `vstrb.16 q2,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q2,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q2,r12' ++[^:]*:12: Error: syntax error -- `vstrb.16 q2,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q2,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q2,r14' ++[^:]*:12: Error: syntax error -- `vstrb.16 q2,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q4,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q4,r0' ++[^:]*:12: Error: syntax error -- `vstrb.16 q4,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q4,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q4,r1' ++[^:]*:12: Error: syntax error -- `vstrb.16 q4,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q4,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q4,r2' ++[^:]*:12: Error: syntax error -- `vstrb.16 q4,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q4,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q4,r4' ++[^:]*:12: Error: syntax error -- `vstrb.16 q4,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q4,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q4,r7' ++[^:]*:12: Error: syntax error -- `vstrb.16 q4,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q4,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q4,r8' ++[^:]*:12: Error: syntax error -- `vstrb.16 q4,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q4,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q4,r10' ++[^:]*:12: Error: syntax error -- `vstrb.16 q4,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q4,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q4,r12' ++[^:]*:12: Error: syntax error -- `vstrb.16 q4,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q4,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q4,r14' ++[^:]*:12: Error: syntax error -- `vstrb.16 q4,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q7,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q7,r0' ++[^:]*:12: Error: syntax error -- `vstrb.16 q7,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q7,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q7,r1' ++[^:]*:12: Error: syntax error -- `vstrb.16 q7,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q7,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q7,r2' ++[^:]*:12: Error: syntax error -- `vstrb.16 q7,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q7,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q7,r4' ++[^:]*:12: Error: syntax error -- `vstrb.16 q7,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q7,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q7,r7' ++[^:]*:12: Error: syntax error -- `vstrb.16 q7,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q7,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q7,r8' ++[^:]*:12: Error: syntax error -- `vstrb.16 q7,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q7,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q7,r10' ++[^:]*:12: Error: syntax error -- `vstrb.16 q7,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q7,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q7,r12' ++[^:]*:12: Error: syntax error -- `vstrb.16 q7,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s16 q7,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u16 q7,r14' ++[^:]*:12: Error: syntax error -- `vstrb.16 q7,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q0,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q0,r0' ++[^:]*:12: Error: syntax error -- `vstrb.32 q0,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q0,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q0,r1' ++[^:]*:12: Error: syntax error -- `vstrb.32 q0,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q0,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q0,r2' ++[^:]*:12: Error: syntax error -- `vstrb.32 q0,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q0,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q0,r4' ++[^:]*:12: Error: syntax error -- `vstrb.32 q0,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q0,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q0,r7' ++[^:]*:12: Error: syntax error -- `vstrb.32 q0,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q0,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q0,r8' ++[^:]*:12: Error: syntax error -- `vstrb.32 q0,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q0,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q0,r10' ++[^:]*:12: Error: syntax error -- `vstrb.32 q0,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q0,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q0,r12' ++[^:]*:12: Error: syntax error -- `vstrb.32 q0,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q0,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q0,r14' ++[^:]*:12: Error: syntax error -- `vstrb.32 q0,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q1,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q1,r0' ++[^:]*:12: Error: syntax error -- `vstrb.32 q1,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q1,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q1,r1' ++[^:]*:12: Error: syntax error -- `vstrb.32 q1,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q1,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q1,r2' ++[^:]*:12: Error: syntax error -- `vstrb.32 q1,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q1,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q1,r4' ++[^:]*:12: Error: syntax error -- `vstrb.32 q1,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q1,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q1,r7' ++[^:]*:12: Error: syntax error -- `vstrb.32 q1,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q1,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q1,r8' ++[^:]*:12: Error: syntax error -- `vstrb.32 q1,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q1,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q1,r10' ++[^:]*:12: Error: syntax error -- `vstrb.32 q1,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q1,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q1,r12' ++[^:]*:12: Error: syntax error -- `vstrb.32 q1,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q1,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q1,r14' ++[^:]*:12: Error: syntax error -- `vstrb.32 q1,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q2,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q2,r0' ++[^:]*:12: Error: syntax error -- `vstrb.32 q2,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q2,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q2,r1' ++[^:]*:12: Error: syntax error -- `vstrb.32 q2,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q2,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q2,r2' ++[^:]*:12: Error: syntax error -- `vstrb.32 q2,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q2,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q2,r4' ++[^:]*:12: Error: syntax error -- `vstrb.32 q2,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q2,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q2,r7' ++[^:]*:12: Error: syntax error -- `vstrb.32 q2,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q2,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q2,r8' ++[^:]*:12: Error: syntax error -- `vstrb.32 q2,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q2,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q2,r10' ++[^:]*:12: Error: syntax error -- `vstrb.32 q2,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q2,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q2,r12' ++[^:]*:12: Error: syntax error -- `vstrb.32 q2,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q2,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q2,r14' ++[^:]*:12: Error: syntax error -- `vstrb.32 q2,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q4,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q4,r0' ++[^:]*:12: Error: syntax error -- `vstrb.32 q4,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q4,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q4,r1' ++[^:]*:12: Error: syntax error -- `vstrb.32 q4,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q4,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q4,r2' ++[^:]*:12: Error: syntax error -- `vstrb.32 q4,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q4,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q4,r4' ++[^:]*:12: Error: syntax error -- `vstrb.32 q4,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q4,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q4,r7' ++[^:]*:12: Error: syntax error -- `vstrb.32 q4,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q4,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q4,r8' ++[^:]*:12: Error: syntax error -- `vstrb.32 q4,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q4,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q4,r10' ++[^:]*:12: Error: syntax error -- `vstrb.32 q4,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q4,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q4,r12' ++[^:]*:12: Error: syntax error -- `vstrb.32 q4,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q4,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q4,r14' ++[^:]*:12: Error: syntax error -- `vstrb.32 q4,r14' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q7,r0' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q7,r0' ++[^:]*:12: Error: syntax error -- `vstrb.32 q7,r0' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q7,r1' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q7,r1' ++[^:]*:12: Error: syntax error -- `vstrb.32 q7,r1' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q7,r2' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q7,r2' ++[^:]*:12: Error: syntax error -- `vstrb.32 q7,r2' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q7,r4' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q7,r4' ++[^:]*:12: Error: syntax error -- `vstrb.32 q7,r4' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q7,r7' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q7,r7' ++[^:]*:12: Error: syntax error -- `vstrb.32 q7,r7' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q7,r8' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q7,r8' ++[^:]*:12: Error: syntax error -- `vstrb.32 q7,r8' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q7,r10' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q7,r10' ++[^:]*:12: Error: syntax error -- `vstrb.32 q7,r10' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q7,r12' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q7,r12' ++[^:]*:12: Error: syntax error -- `vstrb.32 q7,r12' ++[^:]*:12: Error: syntax error -- `vldrb.s32 q7,r14' ++[^:]*:12: Error: syntax error -- `vldrb.u32 q7,r14' ++[^:]*:12: Error: syntax error -- `vstrb.32 q7,r14' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q0,r0' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q0,r0' ++[^:]*:22: Error: syntax error -- `vstrh.16 q0,r0' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q0,r1' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q0,r1' ++[^:]*:22: Error: syntax error -- `vstrh.16 q0,r1' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q0,r2' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q0,r2' ++[^:]*:22: Error: syntax error -- `vstrh.16 q0,r2' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q0,r4' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q0,r4' ++[^:]*:22: Error: syntax error -- `vstrh.16 q0,r4' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q0,r7' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q0,r7' ++[^:]*:22: Error: syntax error -- `vstrh.16 q0,r7' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q0,r8' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q0,r8' ++[^:]*:22: Error: syntax error -- `vstrh.16 q0,r8' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q0,r10' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q0,r10' ++[^:]*:22: Error: syntax error -- `vstrh.16 q0,r10' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q0,r12' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q0,r12' ++[^:]*:22: Error: syntax error -- `vstrh.16 q0,r12' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q0,r14' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q0,r14' ++[^:]*:22: Error: syntax error -- `vstrh.16 q0,r14' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q1,r0' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q1,r0' ++[^:]*:22: Error: syntax error -- `vstrh.16 q1,r0' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q1,r1' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q1,r1' ++[^:]*:22: Error: syntax error -- `vstrh.16 q1,r1' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q1,r2' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q1,r2' ++[^:]*:22: Error: syntax error -- `vstrh.16 q1,r2' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q1,r4' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q1,r4' ++[^:]*:22: Error: syntax error -- `vstrh.16 q1,r4' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q1,r7' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q1,r7' ++[^:]*:22: Error: syntax error -- `vstrh.16 q1,r7' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q1,r8' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q1,r8' ++[^:]*:22: Error: syntax error -- `vstrh.16 q1,r8' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q1,r10' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q1,r10' ++[^:]*:22: Error: syntax error -- `vstrh.16 q1,r10' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q1,r12' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q1,r12' ++[^:]*:22: Error: syntax error -- `vstrh.16 q1,r12' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q1,r14' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q1,r14' ++[^:]*:22: Error: syntax error -- `vstrh.16 q1,r14' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q2,r0' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q2,r0' ++[^:]*:22: Error: syntax error -- `vstrh.16 q2,r0' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q2,r1' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q2,r1' ++[^:]*:22: Error: syntax error -- `vstrh.16 q2,r1' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q2,r2' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q2,r2' ++[^:]*:22: Error: syntax error -- `vstrh.16 q2,r2' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q2,r4' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q2,r4' ++[^:]*:22: Error: syntax error -- `vstrh.16 q2,r4' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q2,r7' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q2,r7' ++[^:]*:22: Error: syntax error -- `vstrh.16 q2,r7' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q2,r8' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q2,r8' ++[^:]*:22: Error: syntax error -- `vstrh.16 q2,r8' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q2,r10' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q2,r10' ++[^:]*:22: Error: syntax error -- `vstrh.16 q2,r10' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q2,r12' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q2,r12' ++[^:]*:22: Error: syntax error -- `vstrh.16 q2,r12' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q2,r14' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q2,r14' ++[^:]*:22: Error: syntax error -- `vstrh.16 q2,r14' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q4,r0' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q4,r0' ++[^:]*:22: Error: syntax error -- `vstrh.16 q4,r0' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q4,r1' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q4,r1' ++[^:]*:22: Error: syntax error -- `vstrh.16 q4,r1' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q4,r2' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q4,r2' ++[^:]*:22: Error: syntax error -- `vstrh.16 q4,r2' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q4,r4' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q4,r4' ++[^:]*:22: Error: syntax error -- `vstrh.16 q4,r4' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q4,r7' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q4,r7' ++[^:]*:22: Error: syntax error -- `vstrh.16 q4,r7' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q4,r8' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q4,r8' ++[^:]*:22: Error: syntax error -- `vstrh.16 q4,r8' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q4,r10' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q4,r10' ++[^:]*:22: Error: syntax error -- `vstrh.16 q4,r10' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q4,r12' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q4,r12' ++[^:]*:22: Error: syntax error -- `vstrh.16 q4,r12' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q4,r14' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q4,r14' ++[^:]*:22: Error: syntax error -- `vstrh.16 q4,r14' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q7,r0' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q7,r0' ++[^:]*:22: Error: syntax error -- `vstrh.16 q7,r0' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q7,r1' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q7,r1' ++[^:]*:22: Error: syntax error -- `vstrh.16 q7,r1' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q7,r2' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q7,r2' ++[^:]*:22: Error: syntax error -- `vstrh.16 q7,r2' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q7,r4' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q7,r4' ++[^:]*:22: Error: syntax error -- `vstrh.16 q7,r4' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q7,r7' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q7,r7' ++[^:]*:22: Error: syntax error -- `vstrh.16 q7,r7' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q7,r8' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q7,r8' ++[^:]*:22: Error: syntax error -- `vstrh.16 q7,r8' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q7,r10' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q7,r10' ++[^:]*:22: Error: syntax error -- `vstrh.16 q7,r10' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q7,r12' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q7,r12' ++[^:]*:22: Error: syntax error -- `vstrh.16 q7,r12' ++[^:]*:22: Error: syntax error -- `vldrh.s16 q7,r14' ++[^:]*:22: Error: syntax error -- `vldrh.u16 q7,r14' ++[^:]*:22: Error: syntax error -- `vstrh.16 q7,r14' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q0,r0' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q0,r0' ++[^:]*:22: Error: syntax error -- `vstrh.32 q0,r0' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q0,r1' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q0,r1' ++[^:]*:22: Error: syntax error -- `vstrh.32 q0,r1' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q0,r2' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q0,r2' ++[^:]*:22: Error: syntax error -- `vstrh.32 q0,r2' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q0,r4' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q0,r4' ++[^:]*:22: Error: syntax error -- `vstrh.32 q0,r4' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q0,r7' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q0,r7' ++[^:]*:22: Error: syntax error -- `vstrh.32 q0,r7' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q0,r8' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q0,r8' ++[^:]*:22: Error: syntax error -- `vstrh.32 q0,r8' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q0,r10' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q0,r10' ++[^:]*:22: Error: syntax error -- `vstrh.32 q0,r10' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q0,r12' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q0,r12' ++[^:]*:22: Error: syntax error -- `vstrh.32 q0,r12' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q0,r14' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q0,r14' ++[^:]*:22: Error: syntax error -- `vstrh.32 q0,r14' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q1,r0' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q1,r0' ++[^:]*:22: Error: syntax error -- `vstrh.32 q1,r0' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q1,r1' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q1,r1' ++[^:]*:22: Error: syntax error -- `vstrh.32 q1,r1' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q1,r2' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q1,r2' ++[^:]*:22: Error: syntax error -- `vstrh.32 q1,r2' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q1,r4' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q1,r4' ++[^:]*:22: Error: syntax error -- `vstrh.32 q1,r4' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q1,r7' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q1,r7' ++[^:]*:22: Error: syntax error -- `vstrh.32 q1,r7' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q1,r8' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q1,r8' ++[^:]*:22: Error: syntax error -- `vstrh.32 q1,r8' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q1,r10' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q1,r10' ++[^:]*:22: Error: syntax error -- `vstrh.32 q1,r10' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q1,r12' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q1,r12' ++[^:]*:22: Error: syntax error -- `vstrh.32 q1,r12' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q1,r14' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q1,r14' ++[^:]*:22: Error: syntax error -- `vstrh.32 q1,r14' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q2,r0' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q2,r0' ++[^:]*:22: Error: syntax error -- `vstrh.32 q2,r0' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q2,r1' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q2,r1' ++[^:]*:22: Error: syntax error -- `vstrh.32 q2,r1' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q2,r2' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q2,r2' ++[^:]*:22: Error: syntax error -- `vstrh.32 q2,r2' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q2,r4' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q2,r4' ++[^:]*:22: Error: syntax error -- `vstrh.32 q2,r4' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q2,r7' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q2,r7' ++[^:]*:22: Error: syntax error -- `vstrh.32 q2,r7' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q2,r8' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q2,r8' ++[^:]*:22: Error: syntax error -- `vstrh.32 q2,r8' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q2,r10' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q2,r10' ++[^:]*:22: Error: syntax error -- `vstrh.32 q2,r10' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q2,r12' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q2,r12' ++[^:]*:22: Error: syntax error -- `vstrh.32 q2,r12' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q2,r14' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q2,r14' ++[^:]*:22: Error: syntax error -- `vstrh.32 q2,r14' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q4,r0' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q4,r0' ++[^:]*:22: Error: syntax error -- `vstrh.32 q4,r0' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q4,r1' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q4,r1' ++[^:]*:22: Error: syntax error -- `vstrh.32 q4,r1' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q4,r2' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q4,r2' ++[^:]*:22: Error: syntax error -- `vstrh.32 q4,r2' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q4,r4' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q4,r4' ++[^:]*:22: Error: syntax error -- `vstrh.32 q4,r4' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q4,r7' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q4,r7' ++[^:]*:22: Error: syntax error -- `vstrh.32 q4,r7' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q4,r8' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q4,r8' ++[^:]*:22: Error: syntax error -- `vstrh.32 q4,r8' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q4,r10' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q4,r10' ++[^:]*:22: Error: syntax error -- `vstrh.32 q4,r10' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q4,r12' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q4,r12' ++[^:]*:22: Error: syntax error -- `vstrh.32 q4,r12' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q4,r14' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q4,r14' ++[^:]*:22: Error: syntax error -- `vstrh.32 q4,r14' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q7,r0' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q7,r0' ++[^:]*:22: Error: syntax error -- `vstrh.32 q7,r0' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q7,r1' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q7,r1' ++[^:]*:22: Error: syntax error -- `vstrh.32 q7,r1' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q7,r2' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q7,r2' ++[^:]*:22: Error: syntax error -- `vstrh.32 q7,r2' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q7,r4' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q7,r4' ++[^:]*:22: Error: syntax error -- `vstrh.32 q7,r4' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q7,r7' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q7,r7' ++[^:]*:22: Error: syntax error -- `vstrh.32 q7,r7' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q7,r8' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q7,r8' ++[^:]*:22: Error: syntax error -- `vstrh.32 q7,r8' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q7,r10' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q7,r10' ++[^:]*:22: Error: syntax error -- `vstrh.32 q7,r10' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q7,r12' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q7,r12' ++[^:]*:22: Error: syntax error -- `vstrh.32 q7,r12' ++[^:]*:22: Error: syntax error -- `vldrh.s32 q7,r14' ++[^:]*:22: Error: syntax error -- `vldrh.u32 q7,r14' ++[^:]*:22: Error: syntax error -- `vstrh.32 q7,r14' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q0,r0' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q0,r0' ++[^:]*:30: Error: syntax error -- `vstrw.32 q0,r0' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q0,r1' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q0,r1' ++[^:]*:30: Error: syntax error -- `vstrw.32 q0,r1' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q0,r2' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q0,r2' ++[^:]*:30: Error: syntax error -- `vstrw.32 q0,r2' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q0,r4' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q0,r4' ++[^:]*:30: Error: syntax error -- `vstrw.32 q0,r4' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q0,r7' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q0,r7' ++[^:]*:30: Error: syntax error -- `vstrw.32 q0,r7' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q0,r8' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q0,r8' ++[^:]*:30: Error: syntax error -- `vstrw.32 q0,r8' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q0,r10' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q0,r10' ++[^:]*:30: Error: syntax error -- `vstrw.32 q0,r10' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q0,r12' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q0,r12' ++[^:]*:30: Error: syntax error -- `vstrw.32 q0,r12' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q0,r14' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q0,r14' ++[^:]*:30: Error: syntax error -- `vstrw.32 q0,r14' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q1,r0' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q1,r0' ++[^:]*:30: Error: syntax error -- `vstrw.32 q1,r0' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q1,r1' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q1,r1' ++[^:]*:30: Error: syntax error -- `vstrw.32 q1,r1' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q1,r2' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q1,r2' ++[^:]*:30: Error: syntax error -- `vstrw.32 q1,r2' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q1,r4' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q1,r4' ++[^:]*:30: Error: syntax error -- `vstrw.32 q1,r4' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q1,r7' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q1,r7' ++[^:]*:30: Error: syntax error -- `vstrw.32 q1,r7' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q1,r8' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q1,r8' ++[^:]*:30: Error: syntax error -- `vstrw.32 q1,r8' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q1,r10' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q1,r10' ++[^:]*:30: Error: syntax error -- `vstrw.32 q1,r10' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q1,r12' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q1,r12' ++[^:]*:30: Error: syntax error -- `vstrw.32 q1,r12' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q1,r14' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q1,r14' ++[^:]*:30: Error: syntax error -- `vstrw.32 q1,r14' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q2,r0' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q2,r0' ++[^:]*:30: Error: syntax error -- `vstrw.32 q2,r0' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q2,r1' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q2,r1' ++[^:]*:30: Error: syntax error -- `vstrw.32 q2,r1' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q2,r2' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q2,r2' ++[^:]*:30: Error: syntax error -- `vstrw.32 q2,r2' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q2,r4' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q2,r4' ++[^:]*:30: Error: syntax error -- `vstrw.32 q2,r4' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q2,r7' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q2,r7' ++[^:]*:30: Error: syntax error -- `vstrw.32 q2,r7' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q2,r8' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q2,r8' ++[^:]*:30: Error: syntax error -- `vstrw.32 q2,r8' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q2,r10' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q2,r10' ++[^:]*:30: Error: syntax error -- `vstrw.32 q2,r10' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q2,r12' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q2,r12' ++[^:]*:30: Error: syntax error -- `vstrw.32 q2,r12' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q2,r14' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q2,r14' ++[^:]*:30: Error: syntax error -- `vstrw.32 q2,r14' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q4,r0' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q4,r0' ++[^:]*:30: Error: syntax error -- `vstrw.32 q4,r0' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q4,r1' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q4,r1' ++[^:]*:30: Error: syntax error -- `vstrw.32 q4,r1' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q4,r2' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q4,r2' ++[^:]*:30: Error: syntax error -- `vstrw.32 q4,r2' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q4,r4' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q4,r4' ++[^:]*:30: Error: syntax error -- `vstrw.32 q4,r4' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q4,r7' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q4,r7' ++[^:]*:30: Error: syntax error -- `vstrw.32 q4,r7' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q4,r8' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q4,r8' ++[^:]*:30: Error: syntax error -- `vstrw.32 q4,r8' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q4,r10' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q4,r10' ++[^:]*:30: Error: syntax error -- `vstrw.32 q4,r10' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q4,r12' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q4,r12' ++[^:]*:30: Error: syntax error -- `vstrw.32 q4,r12' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q4,r14' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q4,r14' ++[^:]*:30: Error: syntax error -- `vstrw.32 q4,r14' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q7,r0' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q7,r0' ++[^:]*:30: Error: syntax error -- `vstrw.32 q7,r0' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q7,r1' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q7,r1' ++[^:]*:30: Error: syntax error -- `vstrw.32 q7,r1' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q7,r2' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q7,r2' ++[^:]*:30: Error: syntax error -- `vstrw.32 q7,r2' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q7,r4' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q7,r4' ++[^:]*:30: Error: syntax error -- `vstrw.32 q7,r4' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q7,r7' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q7,r7' ++[^:]*:30: Error: syntax error -- `vstrw.32 q7,r7' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q7,r8' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q7,r8' ++[^:]*:30: Error: syntax error -- `vstrw.32 q7,r8' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q7,r10' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q7,r10' ++[^:]*:30: Error: syntax error -- `vstrw.32 q7,r10' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q7,r12' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q7,r12' ++[^:]*:30: Error: syntax error -- `vstrw.32 q7,r12' ++[^:]*:30: Error: syntax error -- `vldrw.s32 q7,r14' ++[^:]*:30: Error: syntax error -- `vldrw.u32 q7,r14' ++[^:]*:30: Error: syntax error -- `vstrw.32 q7,r14' +diff --git a/gas/testsuite/gas/arm/mve-vldr-vstr-bad.s b/gas/testsuite/gas/arm/mve-vldr-vstr-bad.s +new file mode 100644 +index 00000000000..c72c1296d2f +--- /dev/null ++++ b/gas/testsuite/gas/arm/mve-vldr-vstr-bad.s +@@ -0,0 +1,30 @@ ++.syntax unified ++.thumb ++ ++.irp op1, 8, 16, 32 ++.irp op2, q0, q1, q2, q4, q7 ++.irp op3, r0, r1, r2, r4, r7, r8, r10, r12, r14 ++vldrb.s\op1 \op2, \op3 ++vldrb.u\op1 \op2, \op3 ++vstrb.\op1 \op2, \op3 ++.endr ++.endr ++.endr ++ ++.irp op1, 16, 32 ++.irp op2, q0, q1, q2, q4, q7 ++.irp op3, r0, r1, r2, r4, r7, r8, r10, r12, r14 ++vldrh.s\op1 \op2, \op3 ++vldrh.u\op1 \op2, \op3 ++vstrh.\op1 \op2, \op3 ++.endr ++.endr ++.endr ++ ++.irp op2, q0, q1, q2, q4, q7 ++.irp op3, r0, r1, r2, r4, r7, r8, r10, r12, r14 ++vldrw.s32 \op2, \op3 ++vldrw.u32 \op2, \op3 ++vstrw.32 \op2, \op3 ++.endr ++.endr +-- +2.25.4 + -- cgit v0.10.2-6-g49f6